CORC

浏览/检索结果: 共12条,第1-10条 帮助

限定条件                    
已选(0)清除 条数/页:   排序方式:
Synthesis of Stretchable Gold Films with Nanocracks:Stretched up to 120% Strain while Maintaining Conductivity 会议论文
成都, 2017
作者:  Mei,Yu;  Chong,Wang;  Cancan,Yang;  Zhe,Yu
收藏  |  浏览/下载:10/0  |  提交时间:2018/02/02
The Synthesis and Calculation of Heterostructural Photonic Crystals with Large Bandgap 会议论文
贵阳, 2017
作者:  Chong,Wang
收藏  |  浏览/下载:17/0  |  提交时间:2018/02/02
Facile fabrication of highly stretchable nanocrack silver film using magnetron sputtering 会议论文
成都, 2017
作者:  Cancan,Yang;  Mei,Yu;  Chong,Wang;  Zhe,Yu
收藏  |  浏览/下载:15/0  |  提交时间:2018/02/02
Synthesis of Ordered Macroporous Titania with Polystyrene Template by the Use of TiCl4 Hydrolysis 会议论文
成都, 2017
作者:  Chong,Wang;  Cancan,Yang;  Mei,Yu;  Zhe,Yu
收藏  |  浏览/下载:14/0  |  提交时间:2018/02/02
Facile Fabrication of Highly Stretchable Nanocrack Indium Film Using Magnetron Sputtering 会议论文
贵阳, 2017
作者:  Cancan,Yang;  Mei,Yu;  Chong,Wang;  Zhe,Yu
收藏  |  浏览/下载:17/0  |  提交时间:2018/02/02
Fine-grained runtime power budgeting for networks-on-chip 会议论文
2015 20th Asia and South Pacific Design Automation Conference, ASP-DAC 2015, Chiba,Japan
作者:  Wang, Xiaohang;  Wang, Tengfei;  Mak, Terrence;  Yang, Mei;  Jiang, Yingtao
收藏  |  浏览/下载:20/0  |  提交时间:2015/09/02
Adaptive power allocation for many-core systems inspired from multiagent auction model 会议论文
Design, Automation and Test in Europe Conference and Exhibition (DATE), Dresden, GERMANY
作者:  Xiaohang Wang;  Baoxin Zhao;  Terrence Mak;  Mei Yang;  Yingtao Jiang
收藏  |  浏览/下载:14/0  |  提交时间:2015/09/02
Agile frequency scaling for adaptive power allocation in many-core systems powered by renewable energy sources 会议论文
19th Asia and South Pacific Design Automation Conference (ASP-DAC), Suntec, SINGAPORE
作者:  Xiaohang Wang;  Zhiming Li;  Mei Yang;  Yingtao Jiang;  Daneshtalab, M.
收藏  |  浏览/下载:15/0  |  提交时间:2015/09/02
On Self-tuning Networks-on-Chip for Dynamic Network-Flow Dominance Adaptation 会议论文
2013 7th IEEE/ACM International Symposium onNetworks-on-Chip, NoCS 2013, Tempe, AZ
作者:  
收藏  |  浏览/下载:24/0  |  提交时间:2015/08/28
On self-tuning networks-on-chip for dynamic network-flow dominance adaptation 会议论文
2013 7th IEEE/ACM International Symposium onNetworks-on-Chip, NoCS 2013, Tempe, AZ
作者:  Wang, Xiaohang;  Mak, Terrence;  Yang, Mei;  Jiang, Yingtao;  Daneshtalab, Masoud
收藏  |  浏览/下载:16/0  |  提交时间:2015/08/28


©版权所有 ©2017 CSpace - Powered by CSpace