CORC  > 光电技术研究所  > 中国科学院光电技术研究所  > 空天部
基于FPGA的8通道高精度TDC技术
张孟翟[1,2]; 王华闯[1]
刊名激光与光电子学进展
2020
卷号57期号:13页码:152-157
关键词时间数字转换器 CARRY4 现场可编程门阵列(FPGA) 延迟链 码密度测试法
ISSN号1006-4125
DOI10.3788/LOP57.131203
文献子类期刊论文
英文摘要高精度脉冲式激光测距的精度与时间数字转换器(TDC)的精度密切相关,基于现场可编程门阵列(FPGA)的多通道TDC可有效降低系统的复杂度、提高测量效率。利用Xilinx Kintex-7系列内的CARRY4模块构造延迟链作为细计数,用25位200 M的系统时钟进行粗计数,采用粗细结合的方式,在FPGA芯片内设计并验证了8通道高精度TDC。针对延迟单元的超前进位特性及其受温度电压影响的非线性时延,利用码密度测试法和在线校准法进行校准。实验结果表明,设计的8通道TDC分辨率小于35 ps,精度为36.8 ps,误差峰峰值为157.2 ps,量程为167.77 ms。
URL标识查看原文
语种中文
内容类型期刊论文
源URL[http://ir.ioe.ac.cn/handle/181551/9967]  
专题空天部
作者单位1.中国科学院大学,北京100049
2.中国科学院光电技术研究所空天光电技术事业部,四川成都610209
推荐引用方式
GB/T 7714
张孟翟[1,2],王华闯[1]. 基于FPGA的8通道高精度TDC技术[J]. 激光与光电子学进展,2020,57(13):152-157.
APA 张孟翟[1,2],&王华闯[1].(2020).基于FPGA的8通道高精度TDC技术.激光与光电子学进展,57(13),152-157.
MLA 张孟翟[1,2],et al."基于FPGA的8通道高精度TDC技术".激光与光电子学进展 57.13(2020):152-157.
个性服务
查看访问统计
相关权益政策
暂无数据
收藏/分享
所有评论 (0)
暂无评论
 

除非特别说明,本系统中所有内容都受版权保护,并保留所有权利。


©版权所有 ©2017 CSpace - Powered by CSpace